РУсскоязычный Архив Электронных СТатей периодических изданий
Компоненты и технологии/2015/№ 2(163)/
В наличии за
50 руб.
Купить
Облако ключевых слов*
* - вычисляется автоматически
Недавно смотрели:

Стили описания конечных автоматов на языке Verilog

В статье исследуются стили описания конечных автоматов на языке Verilog и рассматривается проблема выбора наилучшего способа описания с точки зрения стоимости реализации и быстродействия конечного автомата. Поставленная задача решается эмпирически путем выполнения большого количества экспериментальных исследований на эталонных примерах конечных автоматов. Предложено семь конструкций языка Verilog для описания комбинационных схем конечных автоматов, из которых выбрано две наилучшие конструкции по стоимости реализации. Представлено шесть стилей описания конечных автоматов на языке Verilog, чья эффективность исследовалась при синтезе конечных автоматов на ПЛИС трех классов: CPLD, FPGA и SoC. Показано, что выбор стиля описания позволяет уменьшить стоимость реализации конечного автомата для отдельных примеров в 3,06 раза и повысить быстродействие в 1,6 раза. В заключение указывается на возможные направления дальнейших исследований в этой области.

Авторы
Тэги
Тематические рубрики
Предметные рубрики
В этом же номере:
Резюме по документу**
ПЛИС компоненты 57 Стили описания конечных автоматов на языке Verilog Валерий СОЛОВЬЕВ, <...> д. т. н., профессор valsol@mail.ru В статье исследуются стили описания конечных автоматов на языке Verilog и рассматривается проблема выбора наилучшего способа описания с точки зрения стоимости реализации и быстродействия конечного автомата. <...> Поставленная задача решается эмпирически путем выполнения большого количества экспериментальных исследований на эталонных примерах конечных автоматов. <...> Предложено семь конструкций языка Verilog для описания комбинационных схем конечных автоматов, из которых выбрано две наилучшие конструкции по стоимости реализации. <...> Представлено шесть стилей описания конечных автоматов на языке Verilog, чья эффективность исследовалась при синтезе конечных автоматов на ПЛИС трех классов: CPLD, FPGA и SoC. <...> В частности, язык Verilog широко применяется при проектировании цифровых систем на основе программируемых логических интегральных схем (ПЛИС), его поддерживают средства проектирования таких фирм, как Altera, Xilinx, Synopsys, Cadence, Mentor Graphics и др. <...> Вопрос эффективного описания конечных автоматов на языке Verilog [4] возник у разработчиков компиляторов языка в связи с необходимостью выделять из кода проекта на языке Verilog описание конечного автомата с целью его дальнейшего синтеза. <...> Утверждается, что наибольшее быстродействие конечного автомата достигается при унарном кодировании. <...> Предлагается стиль описания для синтеза регистровых выходов автомата Мили. <...> Экспериментальные исследования проводились для одного простого примера конечного автомата с одним входом, одним выходом, четырьмя состояниями и пятью переходами между состояниями. <...> Анализировались следующие параметры: число используемых триггеров, число используемых логических элементов ПЛИС и максимальная частота функционирования конечного автомата. <...> Открытым остается вопрос, насколько эффективно с точки зрения реализации на ПЛИС можно описать конечный автомат <...>
** - вычисляется автоматически, возможны погрешности

Похожие документы: