РУсскоязычный Архив Электронных СТатей периодических изданий
Компоненты и технологии/2015/№ 7(168)/

Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes

Использование методологии Black Boxes Xilinx System Generator при разработке имитационных моделей цифровых устройств позволяет импортировать VHDL-, Verilog-, EDIF-коды, разработанные, например, в САПР ПЛИС Xilinx ISE Design Suite в систему Matlab/Simulink, что значительно повышает возможности объектно-ориентированного проектирования.

Авторы
Тэги
Тематические рубрики
Предметные рубрики
В этом же номере:
Резюме по документу**
62 компоненты ПЛИС Проектирование КИХ-фильтров в системе Xilinx System Generator с применением методологии Black Boxes Андрей СТРОГОНОВ, <...> tsybin@edc-electronics.ru Павел ГОРОДКОВ gorodkoff@gmail.com Использование методологии Black Boxes Xilinx System Generator при разработке имитационных моделей цифровых устройств позволяет импортировать VHDL-, Verilog-, EDIF-коды, разработанные, например, в САПР ПЛИС Xilinx ISE Design Suite в систему Matlab/Simulink, что значительно повышает возможности объектно-ориентированного проектирования. <...> Импорт проектов, созданных в САПР ПЛИС Xilinx ISE Design Suite с использованием единственных VHDL-файлов В Xilinx System Generator проанализируем проектирование КИХ-фильтра на четыре отвода у = С0x0+C1x1+C2x2+C3x3 с заданными коэффициентами С0 = –2, C1 = –1, C2 = 7 и C3 = 6 [1–4] с применением методологии Black Boxes. <...> Пример 1 демонстрирует нижний уровень иерархии проекта КИХ-фильтра на четыре отвода, созданного с использованием единственного VHDL-файла. <...> Поскольку порты date и q_reg относятся к типу std_logic_vector, то необходимо осуществить преобразование типов с помощью следующих функций: conv_signed(conv_integer(date),4) для сигнала date и std_logic_vector(acc) для сигнала q_reg. <...> Имитационная модель КИХ-фильтра на четыре отвода для реализации в базисе ПЛИС серии Spartan-6 xa6slx4-3tqg144 на основе функционального блока Black Box реализации в базисе ПЛИС серии Spartan-6 xa6slx4-3tqg144 на основе функционального блока Black Box. <...> Для того чтобы воспользоваться данной методологией, необходимо из библиотеки Xilinx System Generator добавить в разрабатываеКОМПОНЕНТЫ И ТЕХНОЛОГИИ • 7 '2015 ПЛИС компоненты 63 function fir4_config(this_block) this_block.setTopLevelLanguage('VHDL'); this_block.setEntityName('fir4'); % System Generator has to assume that your entity has a combinational feed through; % if it doesn't, then comment out the following line: this_block.tagAsCombinational; this_block.addSimulinkInport('reset'); this_block.addSimulinkInport('date'); this_block.addSimulinkOutport('q_reg'); q_reg_port = this_block.port('q_reg'); q_reg_port.setType('Fix_10_0'); % ----------------------------if (this_block.inputTypesKnown) % do input type checking, dynamic output type and generic setup in this code block. if (this_block.port('reset').width = 1); this_block.setError('Input data type for <...>
** - вычисляется автоматически, возможны погрешности

Похожие документы: